Rumah Berpikir ke depan Jelajahi sorotan dari konferensi sirkuit solid-state (isscc)

Jelajahi sorotan dari konferensi sirkuit solid-state (isscc)

Daftar Isi:

Video: Evolutional of the SAR ADC Michael Flynn (Oktober 2024)

Video: Evolutional of the SAR ADC Michael Flynn (Oktober 2024)
Anonim

Kami telah mendengar banyak tentang Hukum Moore yang melambat akhir-akhir ini, dan sementara itu tampaknya benar dalam beberapa kasus, di bagian lain dari bisnis semikonduktor, ada kemajuan yang sedang berlangsung. Pada Konferensi Sirkuit Solid-State International Circuit (ISSCC) minggu lalu, tren chip besar tampaknya berada di sekitar penyebaran materi baru, teknik baru, dan ide-ide baru untuk terus mendorong kepadatan transistor lebih tinggi dan meningkatkan efisiensi daya. Tentu saja, itu bukan berita. Kami melihat ini tercermin dalam pembicaraan tentang pembuatan chip logika pada proses 7nm baru, tentang pembuatan chip NAND 3D 512Gb, dan pada berbagai prosesor baru.

Desainer chip sedang mempertimbangkan struktur dan bahan baru untuk transistor, seperti yang ditunjukkan pada slide di atas dari TSMC. Ada juga banyak diskusi tentang alat-alat baru untuk membuat transistor, termasuk kemajuan litografi seperti EUV dan mengarahkan perakitan sendiri, dan cara-cara baru untuk mengemas banyak yang mati secara bersamaan.

Sebelum menggali rinciannya, tetap sangat menakjubkan bagi saya seberapa jauh industri chip telah terjadi dan seberapa banyak chip yang meresap dalam kehidupan kita sehari-hari. CTO Texas Instruments Ahmad Bahai mencatat dalam presentasinya bahwa pada 2015, industri ini menjual rata-rata 109 chip untuk setiap orang di planet ini. Pembicaraannya terfokus pada bagaimana alih-alih pasar didominasi oleh satu aplikasi - PC pertama, kemudian ponsel - industri sekarang perlu lebih fokus pada "membuat segalanya lebih pintar, " karena berbagai jenis chip menemukan jalan mereka ke sejumlah besar aplikasi.

Industri ini menghadapi tantangan besar. Jumlah perusahaan yang mampu membangun pabrik fabrikasi logika terdepan telah menyusut dari dua puluh dua di simpul 130nm menjadi hanya empat perusahaan saat ini di simpul 16 / 14nm (Intel, Samsung, TSMC, dan GlobalFoundries), dengan proses baru teknologi membutuhkan biaya miliaran untuk dikembangkan, dan pabrik baru bahkan lebih mahal. Memang, minggu lalu Intel mengatakan akan menghabiskan $ 7 miliar untuk mengembangkan 7nm pada cangkang menakjubkan yang dibangun beberapa tahun lalu di Arizona.

Namun, ada sejumlah presentasi mengenai rencana berbagai perusahaan untuk pindah ke proses 10nm dan 7nm.

TSMC telah meluncurkan proses 10nmnya, dan chip pertama yang diumumkan adalah Qualcomm Snapdragon 835, yang akan segera keluar. TSMC mungkin merupakan yang terjauh dalam mengkomersialkan apa yang disebutnya proses 7nm, dan di ISSCC, ini menggambarkan chip uji fungsional SRAM 7nm. Ini akan menggunakan konsep transistor FinFET yang sekarang standar, tetapi dengan beberapa sirkuit teknik untuk membuatnya bekerja dengan andal dan efisien pada ukuran yang lebih kecil. Khususnya, TSMC mengatakan akan memproduksi versi pertama dari chip 7nm menggunakan litografi imersi, daripada menunggu EUV seperti kebanyakan pesaingnya.

Ingatlah bahwa apa yang disebut oleh masing-masing pabrikan besar 7nm sangat bervariasi, sehingga dalam hal kepadatan, mungkin saja proses TSMC 7nm akan serupa dengan proses 10nm yang akan datang dari Intel.

Samsung juga bekerja pada 7nm, dan perusahaan telah menjelaskan bahwa mereka berencana untuk menunggu EUV. Pada acara itu, Samsung berbicara tentang keuntungan litografi EUV serta kemajuan yang telah dibuatnya dalam menggunakan teknologi.

3D NAND

Beberapa pengumuman yang lebih menarik mencakup flash NAND 3D 512Gb, dan menunjukkan seberapa cepat kepadatan flash NAND tumbuh.

Western Digital (yang telah mengakuisisi SanDisk) berbicara tentang perangkat flash NAND 3D 512Gb yang diumumkan sebelum pertunjukan, dan menjelaskan bagaimana perangkat ini terus meningkatkan kepadatan chip tersebut.

Chip khusus ini menggunakan 64 lapisan sel memori dan tiga bit per sel untuk mencapai 512GB pada cetakan yang berukuran 132 milimeter persegi. Ini tidak sepadat desain Micron / Intel 3D NAND, yang menggunakan arsitektur berbeda dengan sirkuit periferal di bawah array (CuA) untuk mencapai 768Gb pada die 179 milimeter persegi, tapi ini merupakan langkah maju yang bagus. WD dan Toshiba mengatakan mampu meningkatkan keandalan dan mempercepat waktu baca hingga 20 persen dan mencapai kecepatan proses tulis sebesar 55 Megabita per detik (MBps). Ini dalam produksi percontohan, dan karena dalam volume produksi pada paruh kedua 2017.

Tidak mau kalah, Samsung memamerkan chip NAND 3D 64-layer 512Gb-nya yang baru, satu tahun setelah itu menunjukkan perangkat 256Gb 48-layer. Perusahaan membuat titik besar untuk menunjukkan bahwa sementara kepadatan areal flash 2D NAND tumbuh 26 persen per tahun dari 2011 hingga 2016, perusahaan telah mampu meningkatkan densitas flash flash NAND 3D sebesar 50 persen per tahun sejak memperkenalkannya tiga tahun lalu.

Chip 512Gb Samsung, yang juga menggunakan teknologi tiga-bit-per-sel, memiliki ukuran mati 128, 5 milimeter persegi, membuatnya sedikit lebih padat daripada desain WD / Toshiba, meskipun tidak sebagus desain Micron / Intel. Samsung menghabiskan banyak ceramahnya untuk menggambarkan bagaimana menggunakan lapisan yang lebih tipis telah menghadirkan tantangan dan bagaimana ia telah menciptakan teknik baru untuk mengatasi keandalan dan tantangan daya yang diciptakan dengan menggunakan lapisan yang lebih tipis ini. Dikatakan waktu baca adalah 60 mikrodetik (149MBps berurutan dibaca) dan throughput penulisan adalah 51MBps.

Sudah jelas ketiga NAND flash camp besar membuat proses yang baik, dan hasilnya harus lebih padat dan akhirnya memori lebih murah dari mereka semua.

Koneksi Baru

Salah satu topik yang saya temukan paling menarik belakangan ini adalah konsep jembatan penghubung multi-mati tertanam (EMIB), sebuah alternatif dari teknologi 2.5D lainnya yang menggabungkan beberapa mati dalam satu paket chip yang lebih murah karena tidak memerlukan interposer silikon atau melalui silikon vias. Pada acara itu, Intel membicarakan hal ini ketika menggambarkan FPGA 14G 1GHz 14nm yang akan memiliki ukuran mati 560mm 2 dikelilingi oleh enam transceiver mati 20nm yang diproduksi secara terpisah, bahkan mungkin pada teknologi lain. (Ini mungkin adalah Stratix 10 SoC.) Tetapi menjadi lebih menarik di akhir minggu, ketika Intel menggambarkan bagaimana teknik ini akan digunakan untuk membuat chip server Xeon pada 7nm dan generasi ketiga 10nm.

Prosesor di ISSCC

ISSCC melihat sejumlah pengumuman tentang prosesor baru, tetapi alih-alih pengumuman chip, fokusnya adalah pada teknologi yang benar-benar membuat chip bekerja sebaik mungkin. Saya tertarik melihat detail baru untuk sejumlah chip yang sangat dinanti.

Saya mengharapkan chip Ryzen baru menggunakan arsitektur ZEN baru AMD untuk dikirimkan segera, dan AMD memberikan lebih banyak detail teknis tentang desain inti Zen dan berbagai cache.

Ini adalah chip FinFET 14nm berdasarkan desain dasar yang terdiri dari kompleks inti dengan 4 core, cache level 2MB 2MB, dan cache cache level 3 16-arah asosiatif 8MB. Perusahaan mengatakan frekuensi dasar untuk 8-core, 16-utas versi akan 3.4GHz atau lebih tinggi, dan mengatakan chip menawarkan peningkatan lebih besar dari 40 persen dalam instruksi per siklus (IPC) dari desain AMD sebelumnya.

Hasilnya adalah inti baru yang diklaim AMD aku s lebih efisien daripada desain 14nm Intel saat ini, meskipun, tentu saja, kita harus menunggu chip akhir untuk melihat kinerja nyata.

Seperti dijelaskan sebelumnya, ini akan tersedia pada awalnya dalam chip desktop yang dikenal sebagai Summit Ridge dan dijadwalkan akan keluar dalam beberapa minggu. Versi server yang dikenal sebagai Naples akan keluar pada kuartal kedua dan APU dengan grafik terintegrasi terutama untuk laptop akan muncul akhir tahun ini.

IBM memberikan lebih banyak detail pada chip Power9 yang memulai debutnya di Hot Chips, dirancang untuk server kelas atas, dan sekarang digambarkan sebagai "dioptimalkan untuk komputasi kognitif." Ini adalah chip 14nm yang akan tersedia dalam versi untuk skala keluar (dengan 24 inti yang dapat menangani 4 utas simultan) atau ditingkatkan (dengan 12 inti yang dapat menangani 8 utas simultan.) Chip tersebut akan mendukung CAPI (Coherent Accelerator Processor) Antarmuka) termasuk CAPI 2.0 menggunakan tautan PCIe Gen 4 dengan kecepatan 16 gigabit per detik (Gbps); dan OpenCAPI 3.0, dirancang untuk bekerja hingga 25Gbps. Selain itu, ini akan bekerja dengan NVLink 2.0 untuk koneksi ke akselerator GPU Nvidia.

MediaTek memberikan gambaran tentang Helio X30 yang akan datang, prosesor mobile 10-core 2.8GHz, terkenal sebagai perusahaan pertama yang diproduksi pada proses 10nm (mungkin di TSMC).

Ini menarik karena memiliki tiga kompleks inti yang berbeda: yang pertama memiliki dua inti ARM Cortex-A73 yang berjalan pada 2.8GHz, yang dirancang untuk menangani tugas-tugas berat dengan cepat; yang kedua memiliki empat inti 2.5GHz A53, yang dirancang untuk tugas-tugas paling khas; dan yang ketiga memiliki empat inti 2.0GHz A35, yang digunakan saat ponsel dalam keadaan diam atau untuk tugas yang sangat ringan. MediaTek mengatakan cluster A53 daya rendah adalah 40 persen lebih efisien daya daripada cluster A73 daya tinggi, dan bahwa cluster A35 daya ultra rendah adalah 44 persen lebih efisien daya daripada cluster daya rendah.

Di acara itu, ada banyak makalah akademis tentang topik-topik seperti keripik yang dirancang khusus untuk pembelajaran mesin. Saya yakin kita akan melihat lebih banyak penekanan pada hal ini, dari GPU ke prosesor paralel paralel yang dirancang untuk menangani komputasi 8-bit, untuk chip neuromorfik dan ASIC khusus. Ini adalah bidang yang baru lahir, tetapi bidang yang mendapatkan banyak perhatian saat ini.

Lebih jauh lagi, tantangan terbesar mungkin bergerak ke komputasi kuantum, yang merupakan cara yang sama sekali berbeda dalam melakukan komputasi. Sementara kita melihat lebih banyak investasi, tampaknya masih jauh dari menjadi teknologi utama.

Sementara itu, kita dapat melihat banyak chip baru yang keren.

Michael J. Miller adalah chief information officer di Ziff Brothers Investments, sebuah perusahaan investasi swasta. Miller, yang adalah pemimpin redaksi PC Magazine dari tahun 1991 hingga 2005, menulis blog ini untuk PCMag.com untuk membagikan pemikirannya tentang produk yang berhubungan dengan PC. Tidak ada saran investasi yang ditawarkan di blog ini. Semua tugas ditolak. Miller bekerja secara terpisah untuk perusahaan investasi swasta yang sewaktu-waktu dapat berinvestasi di perusahaan yang produknya dibahas di blog ini, dan tidak ada pengungkapan transaksi sekuritas yang akan dilakukan.

Jelajahi sorotan dari konferensi sirkuit solid-state (isscc)