Rumah Berpikir ke depan Janji dan tantangan euv di globalfoundries

Janji dan tantangan euv di globalfoundries

Daftar Isi:

Video: GLOBALFOUNDRIES Sand to Silicon (Oktober 2024)

Video: GLOBALFOUNDRIES Sand to Silicon (Oktober 2024)
Anonim

Salah satu alasan saya sangat tertarik untuk mengunjungi GlobalFoundries awal bulan ini adalah kesempatan untuk melihat mesin litografi EUV di tempat dan untuk mendengar tentang bagaimana perusahaan berencana untuk menggunakannya.

Belum lama ini, saya berkesempatan mengunjungi pabrik di Connecticut di mana ASML membangun banyak komponen untuk mesin EUV tersebut. Alat yang sangat besar ini menggunakan cahaya ultraviolet ekstrim (EUV) yang menyinari topeng untuk menggambarkan garis fitur chip yang sangat kecil, dan merupakan beberapa mesin paling kompleks di dunia. Mereka dirancang untuk menggantikan mesin litografi imersi standar yang menggunakan cahaya dengan panjang gelombang 193nm di beberapa lapisan proses pembuatan chip.

Untuk rekap, mesin EUV sangat rumit. Seperti yang dijelaskan George Gomba, Wakil Presiden Riset Teknologi untuk GlobalFoundries, proses dimulai dengan laser CO2 27 kilowatt yang ditembakkan melalui transportasi balok dan sistem pemfokusan ke tetesan timah kecil (berdiameter sekitar 20 mikron) yang dihasilkan oleh generator tetesan dalam pembuluh plasma. Denyut pertama meratakan tetesan dan yang kedua menguapkannya, menciptakan plasma yang diproduksi dengan laser (LPP). Foton EUV yang dipancarkan dari plasma dikumpulkan oleh cermin khusus yang memantulkan cahaya dengan panjang gelombang 13, 5nm dan bahwa radiasi ditransmisikan ke titik fokus menengah di mana ia memasuki pemindai dan diproyeksikan melalui topeng ke wafer silikon. Gomba, yang bekerja di fasilitas Albany Nanotech, mengatakan bahwa ia telah bekerja dengan sistem EUV praproduksi sejak 2013, dan sekarang mengharapkan EUV akan berproduksi penuh di GlobalFoundries pada paruh kedua 2019.

Alat-alat ini sangat rumit sehingga membutuhkan kerja berbulan-bulan hanya untuk membuatnya siap untuk memulai produksi. Di Fab 8 perusahaan di Malta, New York, saya melihat dua alat EUV pertama yang telah diinstal; satu hampir selesai dan yang lainnya sedang dalam proses produksi, dan masih ada ruang untuk dua lagi.

Mendapatkan alat EUV di gedung itu sendiri adalah operasi yang kompleks. Fab utama pertama kali ditutup; kemudian, sebuah crane dipasang di langit-langit, dan sebuah lubang memotong sisi bangunan untuk memindahkan sistem baru yang besar ke dalam. Kemudian, tentu saja, itu harus terhubung ke alat-alat lain di pabrik. Ini melibatkan pekerjaan baik di sub-fab, yang harus diatur untuk alat sumber yang menciptakan laser yang digunakan dalam proses, serta di ruang bersih itu sendiri. Itu semua harus dilakukan sambil menjaga sisa fab berjalan dengan kecepatan penuh.

Tom Caulfield, SVP & General Manager Fab 8, membandingkan ini dengan "melakukan operasi jantung sambil berlari maraton."

Status EUV - dan Apa yang Masih Perlu Dipecahkan

Gary Patton, CTO & SVP dari Worldwide R&D untuk GlobalFoundries, mengatakan 7nm akan berada dalam risiko produksi di Fab 8 tahun ini, dan produksi penuh tahun depan, menggunakan perendaman litografi dan pola quad, tetapi bukan EUV. Multi-pola membutuhkan waktu lebih lama karena melibatkan lebih banyak langkah, dan masalah dapat muncul karena penyelarasan yang sangat tepat diperlukan pada setiap langkah, tetapi alat litografi ini umum, dipahami dengan baik, dan siap saat ini. Rencananya adalah untuk nanti menawarkan versi proses 7nm menggunakan alat EUV baru.

EUV "tidak siap hari ini, " kata Patton, mengutip masalah dengan kekuatan sumber, bahan tahan, dan masker, terutama dengan pengembangan pelikel yang tepat (film tipis yang melewati topeng atau reticle.)

Saat ini mesin EUV tidak secepat, dengan satu insinyur menjelaskan bahwa mereka dapat menghasilkan sekitar 125 wafer per jam, dibandingkan dengan sekitar 275 wafer per jam untuk litografi imersi. Mereka sebenarnya dapat menghemat waktu, karena jika proses tersebut mengurangi jumlah lintasan untuk multi-pola, tidak hanya menghemat langkah dalam litografi, tetapi juga dalam etsa dan persiapan. Dengan demikian, EUV seharusnya benar-benar lebih murah untuk dijalankan ketika sudah siap, kata Caulfield.

Gomba mencatat bahwa idenya bukan hanya untuk mengurangi 3 atau 4 lapisan litografi optik, tetapi untuk mengurangi banyak langkah lainnya juga, karena antara setiap langkah litografi, ada juga etsa dan pemrosesan lainnya pada wafer. Tujuannya, kata Gomba, adalah untuk mengurangi waktu siklus hingga 30 hari.

Titik crossover mungkin pola quad, tetapi banyak tergantung pada hasil (yang harus ditingkatkan, karena langkah-langkah litografi EUV harus memiliki variabilitas lebih sedikit daripada beberapa langkah litografi perendaman) dan peningkatan waktu siklus. EUV juga harus memungkinkan perancang chip untuk beroperasi di bawah kondisi yang tidak terlalu membatasi.

Namun dia juga mencatat bahwa ada beberapa masalah yang masih harus dipecahkan, terutama dalam hal pelikel. Insinyur lain menjelaskan bahwa radiasi 13, 5nm yang digunakan oleh EUV akan diserap oleh hampir semua hal, sehingga bagian dalam mesin harus menjadi ruang hampa udara. Dengan EUV, sebagian besar daya tidak melalui reticle (mask), tetapi memanaskannya. Pelikel membantu melindungi topeng, tetapi pekerjaan masih perlu dilakukan untuk meningkatkan jumlah cahaya yang melewati pelikel (transmisi), serta umur panjang pelikel. Hal ini pada gilirannya akan memengaruhi throughput, serta umur panjang masker dan waktu kerja keseluruhan mesin.

Akibatnya, kata Patton, perusahaan awalnya akan menawarkan menyusut 7nm dengan EUV, yang akan digunakan sebagian besar untuk kontak dan vias. Ini saja dapat memberikan peningkatan kepadatan 10 hingga 15 persen tanpa investasi desain besar. Ketika masalah diselesaikan, Patton berkata, EUV dapat dan akan digunakan di banyak lapisan lagi. (Joel Hruska dari ExtremeTech , yang juga sedang tur, memiliki detail lebih lanjut di sini.)

Patton mencatat bahwa ASML harus mendapatkan "kredit luar biasa" untuk mendorong EUV sejauh yang telah dilakukannya, dan mengatakan itu adalah "prestasi rekayasa yang luar biasa." Ketika ditanya apakah GlobalFoundries benar-benar berkomitmen untuk melakukan EUV, Caulfield menjawab bahwa perusahaan tersebut telah melakukan investasi $ 600 juta, yang berarti "harus melakukannya."

FDX dan Roadmap for Future Chipmaking

Dalam diskusi yang luas tentang ke mana arah pembuatan chip, Patton - yang menghabiskan karier panjang bekerja pada teknologi chip untuk IBM - menjelaskan bagaimana konsep itu berubah ketika kita mencapai akhir Hukum Moore. Dia mencatat bahwa pada tahun-tahun awal pembuatan chip, itu semua tentang penskalaan planar dari silikon CMOS. Kemudian, dari 2000-2010, fokus beralih ke materi baru; sekarang, sebagian besar fokusnya adalah pada transistor 3D (FinFET yang digunakan dalam sebagian besar proses mutakhir hari ini) dan penumpukan 3D.

Pada tahun 2020, katanya, kita akan mencapai batas dimensi atom, jadi kita perlu fokus pada cara-cara lain untuk berinovasi, termasuk cara-cara baru merancang transistor (seperti kawat nano menggantikan FinFET), jenis substrat baru (seperti Fully Teknologi Silicon-on-Insulator yang terkuras GlobalFoundries sedang berkembang); atau tingkat baru integrasi tingkat sistem (seperti pengemasan tingkat lanjut, foton silikon, dan memori tertanam).

GlobalFoundries memiliki dua peta jalan yang sedang dikerjakannya, kata Patton. Yang pertama didasarkan pada teknologi FinFET saat ini, dan dirancang untuk perangkat berkinerja tinggi. Di GlobalFoundries, ini berarti pindah dari proses 14nm saat ini ke revisi proses yang disebutnya 12nm, dan kemudian akhir tahun ini ke apa yang disebutnya 7nm. Patton mengatakan ini harus paling cocok untuk prosesor aplikasi seluler dan CPU kinerja tinggi dan GPUS, dengan GlobalFoundries menjanjikan peningkatan kinerja perangkat hingga 40 persen, dan pengurangan total daya 60 persen dibandingkan proses 14nm. Sama-sama menarik, itu harus mengurangi biaya mati sekitar 30 persen menjadi sebanyak 45 persen dari generasi sebelumnya.

Dalam bagian peta jalan ini, GlobalFoundries berada di jalur yang sama dibandingkan dengan peta jalan dari pesaing yang bersaing, seperti TSMC atau Samsung.

Tetapi untuk aplikasi lain, perusahaan ini berfokus pada apa yang disebutnya FDX, mereknya untuk teknologi silicon-on-insulator yang sepenuhnya terkuras. Ini adalah teknologi planar, yang berarti tidak menggunakan transistor 3D, dan Patton mengatakan itu memberikan solusi yang lebih hemat biaya untuk prosesor seluler kelas bawah dan menengah, serta prosesor untuk Internet of Things dan banyak otomotif aplikasi. Sementara beberapa penelitian untuk ini terjadi di Malta, proses FDX sebagian besar diselenggarakan di Dresden, Jerman. Pekerjaan saat ini pada proses ini adalah pada apa yang GlobalFoundries sebut sebagai simpul FDX 22nm; ini dijadwalkan untuk pindah ke proses 12nm tahun depan.

Caulfield mencatat bahwa "psikiater tidak cukup, " dan bahwa untuk menuju ke simpul berikutnya, GlobalFoundries juga harus menawarkan lebih banyak kinerja dan membawa nilai nyata kepada pelanggan. Dia mencatat bahwa perusahaan melewatkan 20nm dan apa yang orang lain sebut 10nm untuk fokus pada 7nm dan mengatakan bahwa simpul ini menawarkan pengurangan biaya langsung 30 hingga 45 persen dibandingkan dengan 14nm, agak diimbangi dengan kebutuhan lebih banyak topeng untuk langkah-langkah tambahan yang diperlukan oleh multi- pola.

Caulfield mencatat bahwa lebih dari setengah pendapatan perusahaan tetap pada node proses yang lebih lama, seperti 28 dan 40nm node. Pabrik Singapura perusahaan ini berfokus pada proses 40nm dan lebih tua, dan Dresden memproduksi pada 22nm dan lebih tua. Sementara itu, semua yang ada di Malta difokuskan pada proses 14nm dan yang lebih baru.

Pada 7nm, Caulfield mengatakan, perusahaan ingin menjadi "pengikut cepat, " sementara di FDX, ia ingin menjadi faktor "mengganggu" di pasar.

Patton mencatat bahwa GlobalFoundries menunjukkan chip uji 7nm pada tahun 2015, yang dikembangkannya bersama mitra IBM dan Albany NanoTech Complex. Pada 5nm, perusahaan telah berbicara tentang nanosheets atau gate-all-around transistor, dan fokus pada komunikasi intra-modul menggunakan 2.5D dan pengemasan chip 3D pada interposers silikon untuk menghubungkan die yang berbeda dan memori kubus memori hybrid. Dengan mitranya, itu menunjukkan chip uji 5nm tahun lalu.

Selama bertahun-tahun, saya terkesan dengan seberapa banyak industri pembuat chip dapat meningkatkannya. Sulit untuk memikirkan industri lain yang telah bergerak sejauh ini, dan begitu cepat - dan pekerjaan pembuat alat seperti ASML dan fab seperti GlobalFoundries sangat luar biasa. Tantangan yang mereka hadapi dalam mewujudkan chip yang lebih cepat dan desain yang lebih padat semakin sulit, tetapi kunjungan saya mengingatkan saya akan kompleksitas proses canggih yang terlibat dan kemajuan yang terus kita lihat.

Seberapa besar kemungkinan Anda merekomendasikan PCMag.com?
Janji dan tantangan euv di globalfoundries