Rumah Berpikir ke depan Apakah wafer 450mm masa depan pembuatan chip?

Apakah wafer 450mm masa depan pembuatan chip?

Video: Chip Manufacturing - How are Microchips made? | Infineon (Oktober 2024)

Video: Chip Manufacturing - How are Microchips made? | Infineon (Oktober 2024)
Anonim

Di belakang semua gadget baru dan semua aplikasi keren yang kami jalankan terletak prosesor, memori, dan komponen lain yang membuat sistem bekerja. Dan di balik semua itu adalah teknologi proses semikonduktor - susunan desain, alat, bahan, dan langkah-langkah pemrosesan yang kompleks yang diperlukan untuk membangun transistor yang bekerja sangat kecil sehingga 4.000 dari mereka dapat memenuhi lebar rambut manusia dan mengumpulkan miliaran dari mereka dalam sebuah chip tidak lebih besar dari kuku Anda.

Berdasarkan Semicon West minggu lalu, acara tahunan yang berfokus pada teknologi proses yang bertentangan dengan prosesor atau perangkat pengguna akhir, tampaknya seluruh industri siap untuk memindahkan produksi baru ke wafer 450 mm, dimulai dalam lima tahun ke depan.

Saat ini, hampir semua prosesor dan memori penting dibuat pada 300mm wafer, sekitar 12 inci. Tetapi pembuat chip terbesar telah berbicara selama bertahun-tahun tentang beralih ke teknologi wafer 450mm-wafer sekitar 18-inci di seluruh - karena wafer yang lebih besar ini dapat menampung lebih dari dua kali jumlah chip, tetapi mudah-mudahan akan biaya secara signifikan kurang dari dua kali lebih banyak dari 300mm manufaktur. Sampai baru-baru ini banyak pemasok peralatan telah menyeret kaki mereka karena langkah besar terakhir dari 200mm ke 300mm akhirnya menghabiskan banyak biaya dalam penelitian dan pengembangan dengan relatif sedikit menunjukkan untuk itu. Tapi sekarang, tampaknya, hampir semua orang setuju dengan gagasan itu.

Pada konferensi tersebut, Paul A. Farrar, general manager dari Global 450 Consortium, sekelompok perusahaan manufaktur semikonduktor terkemuka termasuk GlobalFoundries, Intel, IBM, Samsung, dan TSMC yang berkantor pusat di College of Nanoscale Science and Engineering di Albany, menunjukkan roadmap yang menyertakan demonstrasi 450mm pada 14nm pada 2013 hingga 2015 dengan peralatan siap untuk produsen chip pada 10nm dan seterusnya pada 2015 hingga 2016.

Semua produsen besar sedang mendiskusikan alat 450mm. Nikon mengatakan telah menerima pesanan dari Konsorsium G450 untuk pemindai perendaman 193nm ArF 450 mm yang akan digunakan untuk pengembangan proses, dan mengatakan pihaknya juga menerima pesanan dari "produsen perangkat utama" yang tidak disebutkan namanya. ASML mengatakan akan mengirimkan 450mm extreme ultraviolet lithography (EUV) dan alat perendaman pada waktu yang bersamaan. Canon menunjukkan apa yang dikatakannya adalah wafer 450mm berpola optis pertama, sementara Molecular Imprints menunjukkan hasil untuk wafer berpola 450mm menggunakan litografi nano-imprint-nya.

Satu hal yang tampaknya mendorong transisi ini adalah meningkatnya biaya produksi di simpul yang lebih kecil. Sementara industri telah berbicara tentang litografi EUV selama bertahun-tahun dan ASML khususnya telah mengutip perbaikan, ini masih belum siap untuk produksi, karena alat saat ini tidak memungkinkan untuk kecepatan dan volume yang dibutuhkan pabrik, sebagian karena masalah dengan sumber daya. ASML mengatakan sekarang memiliki 11 sistem EUV di lapangan, dan memiliki rencana untuk generasi baru alat dengan sumber daya yang lebih baik, tetapi tidak ada yang melakukan manufaktur skala penuh dengan EUV karena alat tersebut tidak cepat dan cukup dapat diandalkan.

Sebaliknya, produsen menggunakan alat perendaman 193nm saat ini, dan pada 20nm dan di bawah, mereka dipaksa untuk menggunakan alat dua kali pada lapisan kritis wafer untuk mendapatkan ketepatan yang mereka butuhkan. Pola ganda ini - dan berpotensi pola ganda - menambah waktu dan biaya untuk pembuatan wafer.

Sebagai CEO GlobalFoundries Ajit Manocha mencatat dalam sebuah keynote, biaya litografi sudah mulai mendominasi total biaya produksi wafer. Dengan multi-patterning pada scanner imersi, ini menjadi lebih buruk. "Kami sangat membutuhkan EUV dan EUV masih belum siap, " katanya.

Di bidang lain, Manocha berbicara tentang perlunya inovasi pengecoran di era mobilitas, membahas segala sesuatu mulai dari proses FinFET 14XM perusahaan hingga teknik lain seperti FD-SOI, kawat nano, dan semikonduktor senyawa III-V (pada dasarnya chip yang menggunakan lebih banyak bahan eksotis)). Menariknya, ia menyebutkan kemungkinan pindah ke FinFET III-V pada 2017 untuk 7nm, meskipun itu tidak terdengar seperti komitmen khusus.

Dia mengatakan tantangan terbesar yang dihadapi industri adalah tantangan ekonomi. Pada node 180nm, hanya ada 15 layer mask; pada node 20nm / 14nm, ada lebih dari 60 layer mask, dan setiap layer menawarkan lebih banyak peluang untuk kegagalan, yang salah satunya dapat membuat seluruh wafer tidak dapat digunakan. "Semua ini benar-benar bertambah, " katanya, menunjukkan bagaimana biaya desain chip pada 130nm (yang biasa terjadi pada terdepan satu dekade yang lalu, dan masih digunakan oleh beberapa chip trailing-edge), adalah $ 15 juta; pada 20nm, itu adalah $ 150 juta. Demikian pula, biaya desain proses telah meningkat dari $ 250 juta menjadi $ 1, 3 miliar, dan hebat untuk memproduksi chip telah meningkat dari $ 1, 45 miliar menjadi sekitar $ 6, 7 miliar hari ini.

Untuk mengatasi hal ini, vendor alat lain berbicara tentang teknik di luar litografi, seperti penumpukan chip dengan vias silikon (TSV) yang dirancang untuk menghasilkan chip berlapis-lapis; dan alat baru untuk deposisi dan pemindahan material. Perusahaan termasuk Bahan Terapan, Penelitian LAM, Tokyo Electron, dan KLA-Tencor mendorong solusi mereka.

Dalam berita lain dari acara itu, Karen Savala, presiden SEMI Americas, berbicara tentang "kebangkitan" manufaktur AS dan peran industri semikonduktor, mengatakan bahwa industri ini sekarang mencakup 245.000 pekerjaan langsung dan sekitar satu juta total pekerjaan di Rantai pasokan AS.

SEMI memperkirakan pengeluaran peralatan akan sedikit turun tahun ini, diikuti oleh kenaikan 21 persen tahun depan, sebagian besar disebabkan oleh terus pengeluaran pengecoran untuk pembuatan 20nm, pabrik fabrikasi flash NAND baru dan peningkatan Intel pada fab di Irlandia.

Apakah wafer 450mm masa depan pembuatan chip?